本站所列毕业设计(论文)资料均属于原创者所有,初衷是为大家在毕业设计(论文)过程中参考和学习交流之用。

毕业设计我帮你

基于EDA技术的六路抢答器设计

基于EDA技术的六路抢答器设计

在现代电子设计领域,随着微电子技术的迅猛发展,无论是电路设计、系统设计还是芯片设计,其设计的复杂程度都在不断的增加,而且电子厂品更新换代的步伐也越来越快。此时,仅仅依靠传统的手工设计方法已经不再能够满足要求,而电子设计自动化技术的发展给电子

如需购买请QQ扫描右边二维码或者加QQ 3449649974 咨询 毕业设计(论文)代做请加QQ 2269757180 微信号:bylwwwww


  • 详细描述

    基于EDA技术六路抢答器设计
    摘要
    在当前,随着科学技术的突飞猛进,抢答器的发展日新月异,社会上出现了运用各种装置或系统为核心的抢答器系统,也有不少类似的各种抢答器在市场出现。抢答器一般由单片机以及外围电路组成,在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判断出抢答者的机器。本设计是一个可提供六人抢答的的多路抢答器,抢到的会有数码管显示。可以倒计时的功能,当锁定时间到了的时候会有音乐提示,当一次抢答完毕,可由主持人按复位键从新开始下一次抢答。由VHDL语言实现。
    我们在这里设计的抢答器是一种比较简易的抢答器,并没有使用特别多的复杂的元件,它的特点是操作简单,性能可靠,适用于多种智力竞赛活动。
    该抢答器既经济又安全。通过与网上的设计进行比较来说明本人设计的抢答器系统的优越性。
    关键词:抢答器  VHDL语音  数码显示管
     
    目录
    摘 要 1
    第一章  绪论4
            1.1  EDA技术的发展与应用4
            1.2  EDA技术的基本特征5
            1.3  设计目的6
            1.4  控制要求7
    第二章  硬件系统7
          2.1  抢答器的工作原理及简介7
            2.2  LED数码管的主要特性7
            2.3  结构流程图8
          2.4  抢答器的总体结构9
           2.4.1  优先判断与编号锁存电路9
         2.4.2  抢答电路11
           2.4.3  定时电路12
           2.4.4  报警电路13
           2.4.5  时序控制电路14
           2.4.6  显示与译码电路15
    第三章  软件系统16
           3.1  程序设计16
              3.1.1  总程序16
              3.1.2  编码程序17
              3.1.3  锁存程序18
              3.1.4  发声程序19
              3.1.5  数码管显示管20
           3.2  编译管脚设置21
           3.3  仿真22
          谢辞
    参考文献
     
    1.1EDA  技术的发展与应用
         电子设计技术的核心就是EDA技术,EDA是指以计算机为工作平台,融合、应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。
    1.2、EDA技术的基本特征
    EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。
      1.“自顶向下”的设计方法10年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。
      高层次设计是一种“自顶向下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次成功率。
      2.ASIC设计现代电子产品的复杂度日益提高,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题。解决这一问题的有效方法就是采用ASIC芯片进行设计。ASIC按照设计方法的不同可分为全定制ASIC、半定制ASIC和可编程ASIC(也称为可编程逻辑器件)。
      设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家去进行掩模制造,做出产品。这种设计方法的优点是芯片可以获得最优的性能,即面积利用率高、速度快、功耗低,而缺点是开发周期长,费用高,只适合大批量产品开发。
      半定制ASIC芯片的版图设计方法分为门阵列设计法和标准单元设计法,这两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间。
      可编程逻辑芯片与上述掩模ASIC的不同之处在于:设计人员完成版图设计后,在实验室内就可以烧制出自己的芯片,无须IC厂家的参与,大大缩短了开发周期。
      可编程逻辑器件自70年代以来,经历了PAL、GAL、CPLD、FPGA几个发展阶段,其中CPLD/FPGA属高密度可编程逻辑器件,目前集成度已高达200万门/片,它将掩模ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易地转由掩模ASIC实现,因此开发风险也大为降低。
      上述ASIC芯片,尤其是CPLD/FPGA器件,已成为现代高层次电子设计方法的实现载体。
      3.硬件描述语言硬件描述语言(VHDL)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。例如一个32位的加法器,利用图形输入软件需要输入500至1000个门,而利用VHDL语言只需要书写一行“A=B+C”即可。而且VHDL语言可读性强,易于修改和发现错误。早期的硬件描述语言,如ABEL、HDL、AHDL,由不同的EDA厂商开发,互不兼容,而且不支持多层次设计,层次间翻译工作要由人工完成。为了克服以上不足,1985年美国国防部正式推出了高速集成电路硬件描述语言VHDL,1987年IEEE采纳VHDL为硬件描述语言标准(IEEESTD-1076)。
      VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。VHDL还具有以下优点:(1)VHDL的宽范围描述能力使它成为高层次设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而花较少的精力于物理实现。(2)VHDL可以用简洁明确的代码描述来进行复杂控制逻辑的设计,灵活且方便,而且也便于设计结果的交流、保存和重用。(3)VHDL的设计不依赖于特定的器件,方便了工艺的转换。(4)VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。
      4.EDA系统框架结构EDA系统框架结构(Framework)是一套配置和使用EDA软件包的规范。目前主要的EDA系统都建立了框架结构,如Cadence公司的Design framework,Mentor公司的Falcon Framework,而且这些框架结构都遵守国际CFI组织制定的统一技术标准。框架结构能将来自不同EDA厂商的工具软件进行优化组合,集成在一个易于管理的统一的环境之下,而且还支持任务之间、设计师之间以及整个产品开发过程中的信息传输与共享,是并行工程和自顶向下设计方法的实现基础。
    1.3  设计目的
         通过学习集成开发软件Max+plusⅡ的使用及设计过程,熟悉EDA工具设计数字电路设计方案,掌握VHDL硬件描述语言设计方案。根据给定题目设计数字电路,来加深对可编程逻辑器件的理解和掌握
    1.4  控制要求
    1.抢答器可同时供六个选手抢答,分别用六个按钮(A-F)以及一个系统清除和抢答控制开关Reset,该开关由主持人控制。
       2.主持人发出抢答信号时,每个选手才可以抢答,最终有主持人复位。
       3.在规定的30秒中没有人牵答,此抢答题则为无效。且有人抢答在15秒中没答出也示为无效。
    4.一旦有选手按下抢答按钮,其他选手的按钮失效。
    注;每个选手及主持人都有指示灯。(指示灯是由LED数码管以发光二极管作为发光单元,有七彩效果,它属于一种照明装饰、亮化灯具。)
     
    参考文献
    江路明  《模拟电子技术基础》中国传媒大学出版社   2008.11.
    王连英 侯荣升 《数字电子技术》 中国传媒大学出版社  2009.1
    朱正伟  《EDA技术及应用》 清华大学出版社 2005.10

    收缩